> **来源:[研报客](https://pc.yanbaoke.cn)** # AI驱动新成长,自主可控大时代 ——2026年半导体设备行业策略报告 行业评级:看好 2026年1月4日 分析师 邱世梁 王华君 王一帆 邮箱 qiushiliang@stocke.com.cn wanghuajun@stocke.com.cn wangyifan01@stocke.com.cn 证书编号 S1230520050001 S1230520080005 S1230523120007 # 半导体设备:AI驱动新成长,自主可控大时代 # 2025行业复盘:跑赢大盘,持续增长 2025年至今,半导体设备指数显著跑赢大盘,当前估值处于28%分位点。 2025年前道设备营收持续高增长,利润增速结构性放缓;后道设备迎来爆发式增长,净利率显著提升,行业呈现高景气态势。 # ■ 2026行业展望:半导体行业景气度高,先进逻辑存储扩产共振 半导体行业景气度高:AI驱动下,2025年全球半导体市场创历史新高,预计2026年将继续增长9%至7607亿美元。 中国半导体设备市场:国内晶圆厂产能利用率回升扩产意愿强烈,AI驱动存储超级周期,国内先进逻辑&存储扩产有望共振,叠加自主可控逻辑下国产化率继续提升,带动设备需求向上。 # 重点推荐:四大景气方向 方向一:AI驱动存储超级周期,聚焦刻蚀与薄膜设备龙头。AI驱动的HBM和高端存储需求,创造了结构性而非周期性的设备投资机会。3D NAND堆叠与DRAM技术迭代,使刻蚀、薄膜沉积设备的使用量和价值量倍增。紧跟长鑫、长存等国内龙头扩产步伐,布局存储业务占比高的设备公司。 方向二:光刻机国产化破晓,布局核心子系统与零部件。光刻机是国产半导体设备国产化率最低的环节,2026年有望实现从0到1量产,带动子系统及零部件公司业绩与估值双提升。 方向三:前沿技术演进,ALD设备迎来黄金发展期。ALD技术主要应用在28nm及以上的逻辑及存储领域。在3D NAND、GAA晶体管、3D DRAM等前沿领域,ALD从辅助工艺升级为核心工艺,是前道设备中增长最快的环节之一,外部管制下,国产厂商正迎来替代窗口期。 方向四:先进封装延续摩尔定律,设备国产化空间广阔。面对制程微缩瓶颈,先进封装通过系统级集成成为提升性能的主航道。AI芯片对CoWoS、HBM的需求爆发,催生了从TSV刻蚀、微凸块电镀到高精度键合、测试的全链条设备需求。该领域技术门槛呈阶梯分布,为国产设备商提供了广阔的切入和替代空间。 ■ 投资建议:四条主线,布局龙头。1)平台型龙头:北方华创。2)细分领域龙头:中微公司(刻蚀)、拓荆科技(CVD龙头)、微导纳米(ALD龙头)。3)高弹性与突破标的:关注芯源微(涂胶显影)、华海清科(CMP)、华峰测控/长川科技(测试),光刻机产业链。 ■风险提示:下游扩产不及预期风险、国产化进程低于预期风险、美国半导体管制加剧风险、零部件供应风险。 # 重点公司估值表 <table><tr><td rowspan="2">代码</td><td rowspan="2">公司</td><td rowspan="2">市值 (亿元)</td><td colspan="4">归母净利润(亿元)</td><td colspan="4">营收(亿元)</td><td colspan="4">PE</td><td colspan="4">PS</td></tr><tr><td>2024A</td><td>2025E</td><td>2026E</td><td>2027E</td><td>2024A</td><td>2025E</td><td>2026E</td><td>2027E</td><td>2024A</td><td>2025E</td><td>2026E</td><td>2027E</td><td>2024A</td><td>2025E</td><td>2026E</td><td>2027E</td></tr><tr><td>002371</td><td>北方华创</td><td>3,485</td><td>56.2</td><td>72.3</td><td>95.6</td><td>120.9</td><td>298</td><td>392</td><td>493</td><td>605</td><td>62</td><td>48</td><td>36</td><td>29</td><td>11.7</td><td>8.9</td><td>7.1</td><td>5.8</td></tr><tr><td>688012</td><td>中微公司</td><td>1,708</td><td>16.2</td><td>21.9</td><td>32.6</td><td>44.0</td><td>91</td><td>121</td><td>160</td><td>202</td><td>106</td><td>78</td><td>52</td><td>39</td><td>18.8</td><td>14.1</td><td>10.7</td><td>8.5</td></tr><tr><td>688082</td><td>盛美上海</td><td>869</td><td>11.5</td><td>16.0</td><td>19.7</td><td>23.0</td><td>56</td><td>70</td><td>85</td><td>98</td><td>75</td><td>54</td><td>44</td><td>38</td><td>15.5</td><td>12.5</td><td>10.3</td><td>8.9</td></tr><tr><td>688072</td><td>拓荆科技</td><td>993</td><td>6.9</td><td>10.4</td><td>16.6</td><td>23.7</td><td>41</td><td>62</td><td>83</td><td>106</td><td>144</td><td>96</td><td>60</td><td>42</td><td>24.2</td><td>15.9</td><td>12.0</td><td>9.4</td></tr><tr><td>688120</td><td>华海清科</td><td>552</td><td>10.2</td><td>12.8</td><td>16.4</td><td>20.3</td><td>34</td><td>46</td><td>58</td><td>72</td><td>54</td><td>43</td><td>34</td><td>27</td><td>16.2</td><td>12.0</td><td>9.5</td><td>7.7</td></tr><tr><td>603690</td><td>至纯科技</td><td>119</td><td>0.2</td><td>1.4</td><td>2.6</td><td>3.4</td><td>36</td><td>33</td><td>38</td><td>43</td><td>506</td><td>85</td><td>46</td><td>35</td><td>3.3</td><td>3.6</td><td>3.1</td><td>2.8</td></tr><tr><td>300567</td><td>精测电子</td><td>239</td><td>-1.0</td><td>2.1</td><td>3.5</td><td>5.6</td><td>26</td><td>33</td><td>41</td><td>51</td><td>-244</td><td>116</td><td>67</td><td>43</td><td>9.3</td><td>7.3</td><td>5.8</td><td>4.7</td></tr><tr><td>688147</td><td>微导纳米</td><td>323</td><td>2.3</td><td>3.1</td><td>4.3</td><td>5.8</td><td>27</td><td>28</td><td>31</td><td>36</td><td>143</td><td>105</td><td>76</td><td>56</td><td>12.0</td><td>11.6</td><td>10.4</td><td>9.0</td></tr><tr><td>688361</td><td>中科飞测</td><td>549</td><td>-0.1</td><td>1.6</td><td>4.1</td><td>6.3</td><td>14</td><td>21</td><td>30</td><td>42</td><td>-4,764</td><td>334</td><td>136</td><td>87</td><td>39.8</td><td>26.7</td><td>18.2</td><td>13.0</td></tr><tr><td>600641</td><td>先导基电</td><td>162</td><td>1.1</td><td>1.8</td><td>2.6</td><td>3.6</td><td>6</td><td>14</td><td>19</td><td>25</td><td>150</td><td>92</td><td>63</td><td>45</td><td>27.8</td><td>11.6</td><td>8.4</td><td>6.6</td></tr><tr><td>688037</td><td>芯源微</td><td>303</td><td>2.0</td><td>2.0</td><td>3.7</td><td>6.0</td><td>18</td><td>20</td><td>27</td><td>35</td><td>150</td><td>154</td><td>82</td><td>51</td><td>17.3</td><td>14.9</td><td>11.4</td><td>8.7</td></tr><tr><td>688200</td><td>华峰测控</td><td>255</td><td>3.3</td><td>5.1</td><td>6.4</td><td>8.1</td><td>9</td><td>13</td><td>16</td><td>19</td><td>76</td><td>50</td><td>40</td><td>32</td><td>28.1</td><td>20.3</td><td>16.0</td><td>13.1</td></tr><tr><td>300604</td><td>长川科技</td><td>627</td><td>4.6</td><td>10.3</td><td>13.6</td><td>17.4</td><td>36</td><td>50</td><td>65</td><td>82</td><td>137</td><td>61</td><td>46</td><td>36</td><td>17.2</td><td>12.4</td><td>9.6</td><td>7.7</td></tr><tr><td>603061</td><td>金海通</td><td>87</td><td>0.8</td><td>1.9</td><td>2.7</td><td>3.7</td><td>4</td><td>7</td><td>9</td><td>11</td><td>111</td><td>47</td><td>32</td><td>23</td><td>21.3</td><td>12.8</td><td>9.7</td><td>7.6</td></tr></table> 资料来源:Wind,浙商证券研究所(数据来自wind一致预期,数据截至2025/12/24) # 目录 # CONTENTS 01 2025年行业复盘 02 2026年行业展望 03 推荐四大景气方向 04 投资建议及风险提示 # 01 # 2025年行业复盘 # 1.1 行业回顾:年初至今半导体设备涨幅 $62\%$ ,大幅跑赢指数 ■ 年初至今半导体设备跑赢大盘:25年初至12月8日,半导体设备(申万)指数累计上涨62.3%,跑赢上证指数42.0%。 ■半导体设备估值处于低分位:复盘十年估值,截至12月8日,半导体设备行业PE(TTM)为72x,处于28%分位点,处于历史较低估值水平。同期半导体PE(TTM)为98x,处于76%分位点,机械设备PE(TTM)为38x,处于75%分位点,与半导体及机械设备指数分位点相比,半导体设备估值处于低分位点。 图:半导体设备(申万)涨跌幅 图:半导体设备、半导体、机械设备PE(TTM) # 前道设备:25Q3营收维持高增,利润增速弱于营收 ■营收:2025第三季度整体营收同比增长 $36\%$ 。龙头公司华创、中微、盛美依然实现高增速,拓荆营收同比大增 $124\%$ ■ 利润:2025第三季度整体归母同比增长 $22\%$ 。龙头公司华创、中微增速放缓,盛美、拓荆利润加速释放。 <table><tr><td rowspan="2">公司简称</td><td colspan="4">2024营业收入(亿元)</td><td colspan="4">2025营业收入(亿元)</td><td colspan="4">2024营业收入增速(%)</td><td colspan="4">2025营业收入增速(%)</td></tr><tr><td>Q1</td><td>Q2</td><td>Q3</td><td>Q4</td><td>Q1</td><td>Q2</td><td>Q3</td><td>前三季度</td><td>Q1</td><td>Q2</td><td>Q3</td><td>Q4</td><td>Q1</td><td>Q2</td><td>Q3</td><td>前三季度</td></tr><tr><td>北方华创</td><td>58.6</td><td>64.8</td><td>80.2</td><td>94.9</td><td>82.1</td><td>79.4</td><td>111.6</td><td>273.0</td><td>51%</td><td>42%</td><td>30%</td><td>27%</td><td>40%</td><td>23%</td><td>39%</td><td>34%</td></tr><tr><td>中微公司</td><td>16.1</td><td>18.4</td><td>20.6</td><td>35.6</td><td>21.7</td><td>27.9</td><td>31.0</td><td>80.6</td><td>31%</td><td>41%</td><td>36%</td><td>60%</td><td>35%</td><td>51%</td><td>51%</td><td>46%</td></tr><tr><td>盛美上海</td><td>9.2</td><td>14.8</td><td>15.7</td><td>16.4</td><td>13.1</td><td>19.6</td><td>18.8</td><td>51.5</td><td>50%</td><td>49%</td><td>38%</td><td>44%</td><td>42%</td><td>32%</td><td>20%</td><td>29%</td></tr><tr><td>拓荆科技</td><td>4.7</td><td>8.0</td><td>10.1</td><td>18.3</td><td>7.1</td><td>12.5</td><td>22.7</td><td>42.2</td><td>17%</td><td>32%</td><td>45%</td><td>82%</td><td>50%</td><td>57%</td><td>124%</td><td>85%</td></tr><tr><td>华海清科</td><td>6.8</td><td>8.2</td><td>9.6</td><td>9.5</td><td>9.1</td><td>10.4</td><td>12.4</td><td>31.9</td><td>10%</td><td>32%</td><td>58%</td><td>43%</td><td>34%</td><td>27%</td><td>30%</td><td>30%</td></tr><tr><td>至纯科技</td><td>8.1</td><td>7.2</td><td>11.1</td><td>9.7</td><td>7.3</td><td>8.8</td><td>7.6</td><td>23.7</td><td>3%</td><td>3%</td><td>55%</td><td>1%</td><td>-10%</td><td>23%</td><td>-32%</td><td>-10%</td></tr><tr><td>精测电子</td><td>4.2</td><td>7.0</td><td>7.1</td><td>7.3</td><td>6.9</td><td>6.9</td><td>8.9</td><td>22.7</td><td>-31%</td><td>38%</td><td>63%</td><td>-17%</td><td>65%</td><td>-2%</td><td>25%</td><td>24%</td></tr><tr><td>微导纳米</td><td>1.7</td><td>6.2</td><td>7.6</td><td>11.6</td><td>5.1</td><td>5.4</td><td>6.7</td><td>17.2</td><td>125%</td><td>101%</td><td>18%</td><td>76%</td><td>199%</td><td>-12%</td><td>-11%</td><td>11%</td></tr><tr><td>中科飞测</td><td>2.4</td><td>2.3</td><td>3.5</td><td>5.7</td><td>2.9</td><td>4.1</td><td>5.0</td><td>12.0</td><td>46%</td><td>12%</td><td>57%</td><td>87%</td><td>25%</td><td>79%</td><td>43%</td><td>48%</td></tr><tr><td>先导基电</td><td>1.0</td><td>1.0</td><td>1.1</td><td>2.7</td><td>1.9</td><td>5.1</td><td>3.7</td><td>10.7</td><td>-20%</td><td>-62%</td><td>-71%</td><td>35%</td><td>94%</td><td>397%</td><td>247%</td><td>247%</td></tr><tr><td>芯源微</td><td>2.4</td><td>4.5</td><td>4.1</td><td>6.5</td><td>2.8</td><td>4.3</td><td>2.8</td><td>9.9</td><td>-15%</td><td>10%</td><td>-20%</td><td>27%</td><td>13%</td><td>-3%</td><td>-32%</td><td>-10%</td></tr><tr><td>合计</td><td>115.2</td><td>142.3</td><td>170.6</td><td>218.1</td><td>160.0</td><td>184.2</td><td>231.2</td><td>575.4</td><td>31%</td><td>36%</td><td>31%</td><td>36%</td><td>39%</td><td>30%</td><td>36%</td><td>34%</td></tr></table> <table><tr><td rowspan="2">公司简称</td><td colspan="4">2024归母净利润(亿元)</td><td colspan="4">2025归母净利润(亿元)</td><td colspan="4">2024归母净利润增速 (%)</td><td colspan="4">2025归母净利润增速 (%)</td></tr><tr><td>Q1</td><td>Q2</td><td>Q3</td><td>Q4</td><td>Q1</td><td>Q2</td><td>Q3</td><td>前三季度</td><td>Q1</td><td>Q2</td><td>Q3</td><td>Q4</td><td>Q1</td><td>Q2</td><td>Q3</td><td>前三季度</td></tr><tr><td>北方华创</td><td>11.3</td><td>16.5</td><td>16.8</td><td>11.6</td><td>15.8</td><td>16.3</td><td>19.2</td><td>51.3</td><td>90%</td><td>37%</td><td>55%</td><td>14%</td><td>40%</td><td>-2%</td><td>14%</td><td>15%</td></tr><tr><td>中微公司</td><td>2.5</td><td>2.7</td><td>4.0</td><td>7.0</td><td>3.1</td><td>3.9</td><td>5.1</td><td>12.1</td><td>-10%</td><td>-63%</td><td>153%</td><td>12%</td><td>26%</td><td>47%</td><td>28%</td><td>33%</td></tr><tr><td>盛美上海</td><td>0.8</td><td>3.6</td><td>3.2</td><td>4.0</td><td>2.5</td><td>4.5</td><td>5.7</td><td>12.7</td><td>-39%</td><td>18%</td><td>35%</td><td>66%</td><td>207%</td><td>24%</td><td>81%</td><td>67%</td></tr><tr><td>拓荆科技</td><td>0.1</td><td>1.2</td><td>1.4</td><td>4.2</td><td>-1.5</td><td>2.4</td><td>4.6</td><td>5.6</td><td>-81%</td><td>67%</td><td>-3%</td><td>6%</td><td>亏损</td><td>103%</td><td>225%</td><td>105%</td></tr><tr><td>华海清科</td><td>2.0</td><td>2.3</td><td>2.9</td><td>3.0</td><td>2.3</td><td>2.7</td><td>2.9</td><td>7.9</td><td>4%</td><td>28%</td><td>52%</td><td>89%</td><td>15%</td><td>18%</td><td>-1%</td><td>10%</td></tr><tr><td>至纯科技</td><td>0.6</td><td>0.1</td><td>1.2</td><td>-1.7</td><td>0.2</td><td>0.2</td><td>0.5</td><td>0.8</td><td>1%</td><td>-78%</td><td>36%</td><td>亏损</td><td>-70%</td><td>100%</td><td>-62%</td><td>-56%</td></tr><tr><td>精测电子</td><td>-0.2</td><td>0.7</td><td>0.3</td><td>-1.8</td><td>0.4</td><td>-0.1</td><td>0.7</td><td>1.0</td><td>亏损</td><td>30024%</td><td>扭亏</td><td>亏损</td><td>扭亏</td><td>亏损</td><td>123%</td><td>22%</td></tr><tr><td>微导纳米</td><td>0.04</td><td>0.4</td><td>1.1</td><td>0.8</td><td>0.8</td><td>1.1</td><td>0.6</td><td>2.5</td><td>扭亏</td><td>-44%</td><td>25%</td><td>-34%</td><td>2254%</td><td>176%</td><td>-48%</td><td>65%</td></tr><tr><td>中科飞测</td><td>0.3</td><td>-1.0</td><td>0.2</td><td>0.4</td><td>-0.1</td><td>-0.03</td><td>0.04</td><td>-0.1</td><td>9%</td><td>亏损</td><td>-51%</td><td>-34%</td><td>亏损</td><td>减亏</td><td>-77%</td><td>亏损</td></tr><tr><td>先导基电</td><td>-0.17</td><td>-0.5</td><td>0.4</td><td>1.4</td><td>-0.20</td><td>0.6</td><td>-0.2</td><td>0.2</td><td>亏损</td><td>亏损</td><td>-13%</td><td>扭亏</td><td>亏损</td><td>扭亏</td><td>亏损</td><td>亏损</td></tr><tr><td>芯源微</td><td>0.2</td><td>0.6</td><td>0.3</td><td>1.0</td><td>0.05</td><td>0.1</td><td>-0.3</td><td>-0.1</td><td>-76%</td><td>-14%</td><td>-63%</td><td>213%</td><td>-71%</td><td>-81%</td><td>亏损</td><td>亏损</td></tr><tr><td>合计</td><td>17.5</td><td>26.5</td><td>31.7</td><td>29.8</td><td>23.4</td><td>31.7</td><td>38.8</td><td>93.8</td><td>17%</td><td>-3%</td><td>49%</td><td>0.3%</td><td>33%</td><td>20%</td><td>22%</td><td>24%</td></tr></table> 数据来源:wind,浙商证券研究所 # 1.2 前道设备:行业盈利能力下滑,毛利率承压 ■行业整体盈利能力下滑:2025年前三季度行业整体毛利率40.4%,同比下滑2.8pct,行业净利率11.4%,同比下滑0.6pct。 ■多数公司毛利率下滑:2025年前三季度,北方华创、中微公司、拓荆科技、微导纳米、芯源微、华海清科、至纯科技毛利率均下滑,同比分别-2.8、-3.1、-10.3、-6.0、-7.9、-1.7、-3.7pct。盛美上海、精测电子、中科飞测毛利率提升,同比分别+1.1、+2.4、+4.3pct。 图:行业整体盈利能力 数据来源:wind,浙商证券研究所 图:各公司毛利率 图:各公司净利率 2020 2021 2022 2023 2024 2025前三季度 # 后道设备:行业爆发式增长,龙头营收环比加速 ■营收:2025第三季度营收同比增长61%。后道设备2023年的业绩大幅下滑,2024年实现修复后2025年继续实现高速增长。龙头公司华峰测控、长川科技连续三个季度营收同比持续增长。 ■ 利润:2025第三季度归母同比增长161%。金海通、长川科技、华峰测控归母净利润分别同比+833%、+208%、+90%,联动科技归母净利润同比下滑81%。 <table><tr><td rowspan="2">公司简称</td><td colspan="4">2024营业收入(亿元)</td><td colspan="4">2025营业收入(亿元)</td><td colspan="4">2024营业收入增速 (%)</td><td colspan="4">2025营业收入增速 (%)</td></tr><tr><td>Q1</td><td>Q2</td><td>Q3</td><td>Q4</td><td>Q1</td><td>Q2</td><td>Q3</td><td>前三季度</td><td>Q1</td><td>Q2</td><td>Q3</td><td>Q4</td><td>Q1</td><td>Q2</td><td>Q3</td><td>前三季度</td></tr><tr><td>华峰测控</td><td>1.4</td><td>2.4</td><td>2.4</td><td>2.8</td><td>2.0</td><td>3.4</td><td>4.0</td><td>9.4</td><td>-32%</td><td>34%</td><td>76%</td><td>65%</td><td>44%</td><td>39%</td><td>67%</td><td>51%</td></tr><tr><td>长川科技</td><td>5.6</td><td>9.7</td><td>10.1</td><td>11.1</td><td>8.2</td><td>13.5</td><td>16.1</td><td>37.8</td><td>75%</td><td>119%</td><td>126%</td><td>95%</td><td>46%</td><td>40%</td><td>60%</td><td>49%</td></tr><tr><td>联动科技</td><td>0.6</td><td>0.8</td><td>0.9</td><td>0.9</td><td>0.6</td><td>0.9</td><td>0.8</td><td>2.3</td><td>35%</td><td>10%</td><td>64%</td><td>27%</td><td>13%</td><td>15%</td><td>-13%</td><td>3%</td></tr><tr><td>金海通</td><td>0.9</td><td>0.9</td><td>0.7</td><td>1.5</td><td>1.3</td><td>1.8</td><td>1.7</td><td>4.8</td><td>-13%</td><td>12%</td><td>-11%</td><td>91%</td><td>45%</td><td>89%</td><td>138%</td><td>88%</td></tr><tr><td>合计</td><td>8.4</td><td>13.8</td><td>14.1</td><td>16.3</td><td>12.1</td><td>19.6</td><td>22.7</td><td>54.3</td><td>27%</td><td>77%</td><td>96%</td><td>84%</td><td>43%</td><td>41%</td><td>61%</td><td>49%</td></tr></table> <table><tr><td rowspan="2">公司简称</td><td colspan="4">2024归母净利润(亿元)</td><td colspan="4">2025归母净利润(亿元)</td><td colspan="4">2024归母净利润增速 (%)</td><td colspan="4">2025归母净利润增速 (%)</td></tr><tr><td>Q1</td><td>Q2</td><td>Q3</td><td>Q4</td><td>Q1</td><td>Q2</td><td>Q3</td><td>前三季度</td><td>Q1</td><td>Q2</td><td>Q3</td><td>Q4</td><td>Q1</td><td>Q2</td><td>Q3</td><td>前三季度</td></tr><tr><td>华峰测控</td><td>0.2</td><td>0.9</td><td>1.0</td><td>1.2</td><td>0.6</td><td>1.3</td><td>1.9</td><td>3.9</td><td>-69%</td><td>3%</td><td>181%</td><td>121%</td><td>164%</td><td>50%</td><td>90%</td><td>82%</td></tr><tr><td>长川科技</td><td>0.04</td><td>2.1</td><td>1.4</td><td>1.0</td><td>1.1</td><td>3.2</td><td>4.4</td><td>8.7</td><td>扭亏</td><td>171%</td><td>扭亏</td><td>130%</td><td>2624%</td><td>50%</td><td>208%</td><td>142%</td></tr><tr><td>联动科技</td><td>-0.03</td><td>0.06</td><td>0.12</td><td>0.05</td><td>-0.03</td><td>0.15</td><td>0.02</td><td>0.14</td><td>亏损</td><td>-65%</td><td>扭亏</td><td>-51%</td><td>减亏</td><td>142%</td><td>-81%</td><td>-5%</td></tr><tr><td>金海通</td><td>0.1</td><td>0.2</td><td>0.1</td><td>0.3</td><td>0.3</td><td>0.5</td><td>0.5</td><td>1.2</td><td>-53%</td><td>90%</td><td>-32%</td><td>5%</td><td>72%</td><td>103%</td><td>833%</td><td>178%</td></tr><tr><td>合计</td><td>0.4</td><td>3.3</td><td>2.6</td><td>2.6</td><td>2.0</td><td>5.1</td><td>6.8</td><td>13.9</td><td>-23%</td><td>70%</td><td>1217%</td><td>85%</td><td>401%</td><td>56%</td><td>161%</td><td>121%</td></tr></table> ■净利率显著提升:2025年前三季度行业整体毛利率 $59.2\%$ ,同比下滑1.6pct,行业净利率 $23.8\%$ ,同比增长5.6pct。 ■毛利率下滑、净利率向上:2025年前三季度,华峰测控、长川科技、联动科技、金海通毛利率同比分别-2.3、-1.6、-3.7、+1.2pct,净利率分别+6.9、+7.9、-0.7、+8.4pct。龙头公司盈利能力继续向上。 图:行业整体盈利能力 图:各公司毛利率 图:各公司净利率 # 02 # 2026年行业展望 # 2.1 行业展望:AI有望继续驱动全球半导体市场创历史新高 ■2025年全球半导体市场创历史新高。半导体行业受技术驱动和宏观周期影响,市场规模呈波动上升趋势,2004-2024年复合增速 $5.6\%$ 。2023年周期底部以来,行业逐步实现复苏与扩张,根据世界半导体贸易统计组织(WSTS)的数据,预计2025年全球半导体销售同比增长11%至7009亿美元创历史新高,预计2026年全球半导体销售额将同比增长9%至7607亿美元。 ■AI是半导体行业增长的核心引擎。根据SEMI预测,2020-2030年,AI相关半导体收入占比将从不足10%增长至48%,非AI半导体收入增速放缓。全球八大CSP资本开支持续增长,根据TrendForce预测,2026年全球八大CSP(谷歌、亚马逊、微软、甲骨文、腾讯、阿里、字节、百度)资本开支将同比增长40%至6000亿美元。 图:2025年全球半导体市场创历史新高(2025、2026为预测数据) 图:2020-2030年,SEMI预计AI相关半导体占比将从 $10\%$ 提升至 $48\%$ 图:TrendForce预计2026年全球八大CSP资本开支同比增长 $40\%$ ■全球半导体设备市场规模超千亿美元,AI驱动市场规模再创新高。2024年全球半导体设备销售额达1171亿美元,同比增长 $10\%$ ,主要受先进制程投资、HBM产能军备竞赛以及中国半导体自主化浪潮驱动。根据SEMI预测,2025年全球半导体设备预计同比增长 $13.7\%$ 至1330亿美元,主要由人工智能相关投资拉动,包括先进逻辑、存储和先进封装领域的增长。 ■SEMI预计2026年全球半导体设备市场同比增长 $9 \%$ 。晶圆制造设备(WFE)2025年增长 $11 \%$ 至1157亿美元,主要系DRAM和HBM投资强于预期,预计2026年继续增长 $9 \%$ ;测试设备2025年同比增长 $48 \%$ 至112亿美元,预计2026年继续增长 $12 \%$ ;封装设备2025年同比增长 $19.6\%$ 至64亿美元,预计2026年增长 $9.2\%$ 中国大陆市场近500亿美元,2024年中国大陆占据全球 $42\%$ 的市场。从区域来看,中国大陆市场同比增长35%达496亿美元,全球占比高达 $42\%$ 主要系积极的产能扩张和政府支持。 图:预计2026年全球半导体设备销售额同比 $+10\%$ 图:2024年中国在全球设备市场占比达 $42\%$ 中国大陆占比 图:全球半导体设备各环节市场预测 Source:SEMI, 2025 Year-End Semiconductor Equipment Forecast - OEM Perspective \*Total equipment includes new wafer fab, test, assembly, and packaging, but does not include wafer manufacturing equipment. Totals may not add due to rounding. ■逻辑端:龙头晶圆厂产能利用率持续回升,预计2026年国内先进逻辑扩产提速。中芯国际3Q2025产能利用率 $95.8\%$ ,同比+5.4pct,环比+3.3pct,月产能从第二季度99.13万片提升至102.28万片(折合8英寸逻辑),产能规模持续扩张;华虹同期产能利用率高达 $109.5\%$ ,环比+1.2pcts。根据中芯国际业绩交流会,逻辑代工领域国产替代带动产能扩充加速,我们预计2026年先进逻辑产线扩产有望加速。 ■存储端:AI驱动存储超级周期到来,两存扩产驱动不断。AI服务器需求增长的推动下,NAND及DRAM价格近月来大幅增长,根据TrendForce预测,2026年DRAM、NAND市场需求预计继续同比增长 $26\%$ 、 $21\%$ ,产能仍处于供不应求状态。海外大厂新增产能重点聚焦于高端HBM领域,DRAM及NAND产能释放有限;国内方面,长江存储(三期)公司已成立,长鑫存储发布最新DDR5产品且已完成IPO辅导,预计2026年国内存储扩产迎来加速。 图:中芯国际3Q2025产能利用率 $95.8\%$ 资料来源:wind,浙商证券研究所 图:2025Q3华虹公司产能利用率高达 $109.5\%$ 图:DRAM及NAND价格快速上涨(单位:美元) # 2.4 自主可控势在必行,先进制程逐项突破 表:国内半导体设备公司布局 <table><tr><td>IC设备</td><td>分类</td><td>全球领先公司</td><td>NAURA北方华创</td><td>AMEC中微公司</td><td>ACMR盛美上海</td><td>Hwatsing华海清科</td><td>Piotech沈阳拓荆</td><td>LeadMicro微导纳米</td><td>SMEE上海微电子</td><td>PNC至纯科技</td><td>Kingsemi芯源微</td><td>Wanye先导基电(凯世通)</td><td>Mattson屹唐</td><td>Jingce精测电子</td><td>AccoTest华峰测控</td><td>Changchuan长川科技</td><td>Raintree上海睿励</td></tr><tr><td rowspan="3">热处理</td><td rowspan="3">氧化扩散退火</td><td rowspan="3">TEL AMATASMHitachi</td><td>✓</td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td></tr><tr><td>✓</td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td></tr><tr><td>✓</td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td></tr><tr><td>光刻机</td><td>DUV/EUV</td><td>ASML</td><td></td><td></td><td></td><td></td><td></td><td></td><td>✓</td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td></tr><tr><td rowspan="3">刻蚀</td><td rowspan="3">硅刻蚀介质刻蚀金属刻蚀</td><td rowspan="3">LAM TELAMAT</td><td>✓</td><td>✓</td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td></tr><tr><td>✓</td><td>✓</td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td>✓</td><td></td><td></td><td></td><td></td></tr><tr><td>✓</td><td>✓</td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td></tr><tr><td rowspan="2">涂胶去胶</td><td rowspan="2">涂胶显影去胶</td><td>TEL</td><td>✓(芯源微)</td><td></td><td>✓</td><td></td><td></td><td></td><td></td><td></td><td>✓</td><td></td><td></td><td></td><td></td><td></td><td></td></tr><tr><td>Mattson</td><td>✓(芯源微)</td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td>✓</td><td></td><td>✓</td><td></td><td></td><td></td><td></td></tr><tr><td rowspan="4">CVD</td><td>LPCVD</td><td rowspan="2">AMAT TELLAM</td><td>✓</td><td>✓</td><td></td><td></td><td>✓</td><td>✓</td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td></tr><tr><td>PECVD</td><td>✓</td><td>✓</td><td>✓</td><td></td><td>✓</td><td>✓</td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td></tr><tr><td>ALD</td><td>ASMI</td><td>✓</td><td>✓</td><td>✓</td><td></td><td>✓</td><td>✓</td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td></tr><tr><td>MOCVD</td><td>AMEC</td><td>✓</td><td>✓</td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td></tr><tr><td rowspan="3">PVD</td><td rowspan="3">AI-padHard maskCuBS</td><td rowspan="3">AMATEvatec</td><td>✓</td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td></tr><tr><td>✓</td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td></tr><tr><td>✓</td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td></tr><tr><td>离子注入机</td><td></td><td>AMATAxcelis</td><td>✓</td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td>✓</td><td></td><td></td><td></td><td></td><td></td><td></td></tr><tr><td>CMP</td><td></td><td>AMATEbara</td><td></td><td></td><td></td><td>✓</td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td></tr><tr><td rowspan="2">清洗</td><td>单一晶圆</td><td>SCREENLAM</td><td>✓</td><td></td><td>✓</td><td></td><td></td><td></td><td></td><td>✓</td><td></td><td></td><td></td><td></td><td></td><td></td><td></td></tr><tr><td>Batch</td><td>TEL</td><td>✓</td><td></td><td>✓</td><td></td><td></td><td></td><td></td><td>✓</td><td>✓</td><td></td><td></td><td></td><td></td><td></td><td></td></tr><tr><td rowspan="3">检测</td><td rowspan="3">AnalogSoCMemory</td><td rowspan="3">TeradyneAdvantestCohu</td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td>✓</td><td>✓</td><td></td><td></td></tr><tr><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td></tr><tr><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td></td><td>✓</td><td></td><td></td><td></td></tr><tr><td>量测</td><td></td><td>KLA/AMAT</td><td></td><td></td><td></td><td></td><td></td><td></td><td>✓</td><td></td><td></td><td></td><td></td><td>✓</td><td></td><td></td><td>✓</td></tr></table> 资料来源:公开信息整理,浙商证券研究所 # 2.4 自主可控势在必行,先进制程突破渐进 先进制程突破加速。国内半导体设备厂商持续加大研发,重点环节均实现28nm制程突破,去胶、部分刻蚀和清洗已经达到先进制程节点。外部制裁下国内晶圆厂给予国产设备验证机会增多,我国半导体设备从成熟迈向先进制程的节奏提速。 <table><tr><td>公司名称</td><td>设备类型</td><td>当前制程及先进制程研发进展</td></tr><tr><td rowspan="2">北方华创</td><td>刻蚀</td><td>先进制程刻蚀机已在客户端通过多道制程工艺验证,并实现量产应用</td></tr><tr><td>薄膜沉积</td><td>先进制程薄膜沉积设备(14nm)已在客户端通过多道制程工艺验证,并实现量产应用。</td></tr><tr><td rowspan="3">中微公司</td><td>CCP</td><td>1、逻辑:12英寸设备已应用于65nm到5nm及更先进生产线上。应用于28nm及以下的一体化大马士革刻蚀进展良好。2、存储:设备已在64层和128层3D NAND量产先上应用,已通过动态存储器工艺验证并取得订单,60:1极高深宽比刻蚀进展良好。</td></tr><tr><td>ICP</td><td>可满足55nm到28nm逻辑芯片ICP刻蚀工艺,在DRAM、3D NAND和存储器件刻蚀应用范围不断拓展。</td></tr><tr><td>薄膜沉积</td><td>首台CVD钨设备付运到关键存储客户端验证评估,已通过客户现场验证,满足金属互联钨制程各项性能指标,并获得客户重复量产订单。CVD W设备基础上,进一步开发出新型号HAR(高深宽比)W钨设备及ALD W钨设备,这两项设备均为高端存储器件的关键设备,目前已通过客户现场验证,满足存储器件中的高深宽比金属互联应用中各项性能指标。应用于高端存储和逻辑的ALD氮化钛设备已进入实验室测试阶段。</td></tr><tr><td rowspan="4">拓荆科技</td><td>PECVD</td><td>覆盖全系列PECVD薄膜材料,包括SiO2、SiN、TEOS、SiON、SiOC、FSG、BPSG、PSG等通用介质薄膜材料,以及LoKⅠ、LoKⅡ、ACHM、ADCⅠ、HTN、a-Si等先进介质薄膜材料。1、逻辑:完成28nm量产应用,14nm/10nm验证中。2、存储:SiN、SiON、TEOS、ACHM材料已在64层3D NAND应用,128层3D NAND、19/17nmDRAM产业化验证中。</td></tr><tr><td>SACVD</td><td>SA TEOS、BPSG、SAF工艺取得客户验证。</td></tr><tr><td>ALD</td><td>PEALD SiO2、SiN产业化应用中,TALD 已在获得客户验证。</td></tr><tr><td>HDPCVD</td><td>可以同时进行薄膜沉积和溅射,薄膜致密度更高,可以沉积SiO2、FSG、PSG等介质薄膜材料。通过客户端验证,实现首台的产业化应用,并获得批量重复订单。</td></tr><tr><td>微导纳米</td><td>薄膜沉积</td><td>已开发工艺包括了HKMG技术、柱状电容器、金属化薄膜沉积技术及高深宽比3D DRAM、TSV技术等,并还在持续开发客户需求的IGZO、Nb2O5等新工艺。ALD:获得逻辑、存储、化合物、新型显示批量订单,12寸28nm逻辑high-k设备获得量产验证。CVD设备已获得客户订单。</td></tr><tr><td>华海清科</td><td>CMP</td><td>1、逻辑:实现28nm及以上成熟制程的产业化应用,高端工艺技术水平14nm制程仍处于客户验证阶段。2、存储:128层3D NAND、1X/1Y DRAM实现量产。</td></tr><tr><td>芯源微</td><td>Track</td><td>28nm及以上制程全覆盖,offline、I-line、KrF机台实现批量销售,浸没式已完成验证。</td></tr><tr><td>盛美上海</td><td>清洗</td><td>已应用于逻辑28nm技术节点及DRAM 19nm技术节点,并可拓展至逻辑芯片14nm、DRAM 17/16nm技术节点、32/64/128层3D NAND。</td></tr><tr><td>至纯科技</td><td>清洗</td><td>已能满足28nm全部湿法工艺需求。</td></tr><tr><td>万业企业</td><td>离子注入</td><td>28nm低能大束流、低能大束流重金属、低能大束流超低温和高能离子注入机已实现商业化。</td></tr><tr><td>精测电子</td><td>量检测</td><td>膜厚设备、OCD 设备、电子束设备均已取得多家客户批量性订单,半导体硅片应力测量设备取得客户订单并完成交付,明场光学缺陷检测设备已取得突破性订单,且完成首台套交付。1、光学膜厚测量设备:可用于28nm FEOL和14nm BEOL节点制程。2、OCD设备适用于28nm节点及以上制程。3.电子束检测设备:1xnm正在验证。</td></tr><tr><td>中科飞测</td><td>量检测</td><td>已量产多款28nm及以上量检测设备,2xn nm套刻精度量测设备正在验证,已取得客户订单,1xn nm无图形晶圆检测设备处于研发中。</td></tr></table> 来源:各公司公告,浙商证券研究所整理 # 2.4 自主可控势在必行,先进制程突破渐进 ■ 关注国产化率较低的卡脖子环节。2024年我国ALD、光刻、量测检测、离子注入、涂胶显影等环节国产化率极低,在10%左右。刻蚀、CVD、CMP、热处理等环节国产化率较低,位于10%至30%之间。 图:2024年各环节市场空间及国产化率测算 资料来源:各公司公告,wind,浙商证券研究所 # 03 # 推荐四大景气方向 【核心驱动】存储超级周期+自主可控驱动。AI算力需求引爆存储芯片的“超级周期”,存储价格进入上行通道,产能供不应求;预计2026年两存扩产加速,存储敞口高的设备公司充分受益。 ■ 长鑫存储:DRAM龙头上市加速。长鑫存储是国内DRAM龙头,是国内规模最大、技术最先进、唯一实现大规模量产通用型DRAM的IDM公司。根据TrendForce,长鑫存储2025年底产能将达到30万片/月,同比增长近 $50\%$ 。2025年10月,长鑫存储已完成IPO辅导工作,有望通过上市融资实现业务扩张,利好产业链上下游。 ■长江存储:快速追赶的NAND新星。长江存储是国内NAND龙头。通过技术创新和产能扩张,正在快速改变全球NAND市场格局,公司自主研发的Xtacking架构实现了技术突破,位密度达到15.03 Gb/mm²,超越国际巨头。产能方面,长江存储计划2026年底达到30万片/月的总产能,目标占据全球15%的市场份额。 ■ 设备需求:存储芯片,尤其是3D NAND的堆叠层数持续增加,对刻蚀(极高深宽比)和薄膜沉积(均匀性、保形性)设备的依赖度和技术要求呈指数级提升。推荐在刻蚀、CVD、ALD等领域技术领先且存储业务收入占比高的设备公司,其订单能见度与业绩弹性最为突出。 2022-2026年DRAM与NAND Flash产业资本支出 Source:TrendForce,Nov.2025 TrendForce 2025年第三季DRAM品牌厂商营收排名 <table><tr><td rowspan="2">Ranking</td><td rowspan="2">Company</td><td colspan="3">Revenue (US$M)</td><td colspan="2">Market Share</td></tr><tr><td>3Q25</td><td>2Q25</td><td>QoQ</td><td>3Q25</td><td>2Q25</td></tr><tr><td>1</td><td>SK hynix</td><td>13,750</td><td>12,229</td><td>12.4%</td><td>33.2%</td><td>38.7%</td></tr><tr><td>2</td><td>Samsung</td><td>13,500</td><td>10,350</td><td>30.4%</td><td>32.6%</td><td>32.7%</td></tr><tr><td>3</td><td>Micron</td><td>10,650</td><td>6,950</td><td>53.2%</td><td>25.7%</td><td>22.0%</td></tr><tr><td>4</td><td>Nanya</td><td>627</td><td>341</td><td>84.0%</td><td>1.5%</td><td>1.1%</td></tr><tr><td>5</td><td>Winbond</td><td>222</td><td>183</td><td>21.4%</td><td>0.5%</td><td>0.6%</td></tr><tr><td>6</td><td>PSMC</td><td>33</td><td>20</td><td>62.8%</td><td>0.1%</td><td>0.1%</td></tr><tr><td></td><td>Others</td><td>2,617</td><td>1,561</td><td>67.6%</td><td>6.3%</td><td>4.9%</td></tr><tr><td colspan="2">Total</td><td>41,399</td><td>31,634</td><td>30.9%</td><td>100.0%</td><td>100.0%</td></tr></table> 备注1:公司营收含委外代工,代工厂则不包含代工销售额备注2:2Q25--1美元兑换1,400韩元;1美元兑换30.9台币备注3:3Q25--1美元兑换1,387韩元;1美元兑换29.9台币Source:TrendForce,Nov.2025 TrendForce 2Q25 NAND Flash前五大品牌商营收排名 <table><tr><td rowspan="2">Rankings</td><td rowspan="2">Company</td><td colspan="2">Revenue (US$M)</td><td colspan="2">Market Share (%)</td></tr><tr><td>2Q25</td><td>QoQ (%)</td><td>2Q25</td><td>1Q25</td></tr><tr><td>1</td><td>Samsung</td><td>5,200.0</td><td>23.8%</td><td>32.9%</td><td>31.9%</td></tr><tr><td>2</td><td>SK Group (SK hynix + Solidigm)</td><td>3,335.2</td><td>52.5%</td><td>21.1%</td><td>16.6%</td></tr><tr><td>3</td><td>Kioxia</td><td>2,135.0</td><td>11.4%</td><td>13.5%</td><td>14.6%</td></tr><tr><td>4</td><td>Micron</td><td>2,100.0</td><td>3.7%</td><td>13.3%</td><td>15.4%</td></tr><tr><td>5</td><td>SanDisk</td><td>1,901.0</td><td>12.2%</td><td>12.0%</td><td>12.9%</td></tr><tr><td colspan="2">Total of Top 5</td><td>14,671.2</td><td>22.0%</td><td>93.0%</td><td>91.3%</td></tr></table> 注1:1Q25汇率均值:美元兑日圆汇率:1:152.4;美元兑韩元汇率:1:1,452.0 注2:2025汇率均值:美元兑日圆汇率:1:144.5;美元兑韩元汇率:1:1,399.8 Source:TrendForce,Aug.2025 TrendForce 【核心驱动】光刻机是当前国产化率最低、技术壁垒最高的环节,光刻技术自主可控势在必行。 ■ 光刻机是芯片制造中最复杂、最昂贵的设备。在集成电路先进制程中,光刻工艺(含光刻胶、掩膜、设备使用等)的成本可占整个芯片制造成本的 $30\% - 40\%$ ,其相关工序所耗费的时间也约占整体前道工艺周期的 $40\% - 50\%$ ,是芯片制造中最复杂、最关键的环节之一。 ■ 光刻机系统极为复杂,由十几个甚至几十个分系统组成。包括光源系统、光学系统、工件台/掩模台系统、自动对准系统、调焦调平测量系统、硅片/掩模传输系统、框架/减振/环境控制系统、整机控制系统等,包含几万个甚至十几万个零部件。 图:芯片制造流程 图:EUV光刻机结构 # 3.2 景气方向二:光刻机国产化破晓,布局核心子系统与零部件 ■ 光刻机是国产半导体设备国产化率最低的环节,2026年28nm光刻机有望实现突破。在02专项支持和政策引导下,国内光刻机及零部件企业不断取得进展。2016年,上海微电子90nm光刻机SSA600系列实现出货,成为国产光刻机商业化的重要标志;2020年,华卓精科自主研发的双工件台实现量产应用,打破ASML在工件台上的长期垄断;2025年11月,芯上微装自主研发的首台350nm步进光刻机付运客户,该设备主要应用于化合物半导体,实现了我国高端半导体光刻领域突破。众多成果标志着国产光刻机在整机与核心零部件环节的迭代正在加速,未来在政策支持与市场应用拉动下,国产产业链整体能力有望持续提升。 表:光刻机产业链重点标的 <table><tr><td>公司</td><td>光刻机相关</td></tr><tr><td>茂莱光学</td><td>精密光学器件可应用于光刻机</td></tr><tr><td>福晶科技</td><td>晶体制造商</td></tr><tr><td>波长光电</td><td>先进制程光刻设备光源里的光学镜片</td></tr><tr><td>苏大维格</td><td>光刻机用定位光栅尺</td></tr><tr><td>炬光科技</td><td>光刻机光场匀化器核心供应商</td></tr><tr><td>新莱应材</td><td>国内高纯/超高纯应用材料龙头</td></tr><tr><td>富创精密</td><td>国内领先的半导体设备精密零部件制造商</td></tr></table> 资料来源:公司公告,wind,南方财富,浙商证券研究所 【核心驱动】半导体工艺推进使原子层沉积技术从“可选”变为“必选”。 ■半导体技术正于多维度迈向下一代前沿,旨在突破物理极限与效能瓶颈。技术的突破与产业化,将驱动资本开支向先进工艺设备、新型材料及集成方案集中,为相关领域的领先公司带来结构性增长机遇。 √ 存储领域,传统2D DRAM微缩濒临极限,3D DRAM通过立体堆叠成为革新方向,将开启新一轮设备与材料竞赛;3DNAND持续堆高,是提升密度与降低成本的核心路径,对刻蚀、薄膜沉积工艺提出极致要求。铁电存储(FeRAM/FRAM)凭借纳秒级速度、高耐用性与低功耗,在嵌入式与存算一体等新兴场景潜力巨大。 ✓ 逻辑领域,先进制程向2nm以下演进,GAA晶体管、背面供电、CFET等新技术将定义未来性能与能效。 图:各类芯片结构变化 图:DRAM技术路线图 图:逻辑技术路线图 随着AI与先进制程发展对半导体需求的日益增高,ALD成为半导体晶圆设备中增长最快的领域之一。 1)3D DRAM的发展需要进一步依赖ALD和EPI工艺,以支持更复杂的堆叠结构。这些工艺能够确保在高纵横比的结构中实现均匀沉积,并优化接触电阻,从而提升存储密度和性能。2)3D NAND的叠层结构对ALD设备功能要求更高,多层次沉积使得ALD设备价量比重上升。3)逻辑芯片已迎来GAA时代,新增ALD设备需求。 图:转向3D DRAM,增加对ALD设备的需求 图:3D NAND层数增加带来ALD设备增量需求 图:下一代GAA技术对ALD设备需求高 图:GAA技术引入了ALD钼金属沉积 【核心驱动】AI芯片对高带宽、低功耗的渴求,使得CoWoS、HBM等先进封装技术成为刚需。 ■随着半导体工艺制程的发展,芯片设计成本急剧增长,每百万晶体管制造成本不降反升。摩尔定律由于接近物理极限而放缓,先进封装技术的发展助力摩尔定律延续。 ■先进封装行业市场需求强劲。全球先进封装市场预计将从2024年443亿美元增长至2028年的786亿美元。这一增长主要受AI芯片与高带宽内存(HBM)的强劲需求驱动。AI服务器对2.5D/3D封装和HBM的需求激增,预计2024至2030年间,用于AI数据中心芯片的先进封装市场年复合增长率将高达 $45.5\%$ 。目前,2.5D CoWoS类型的解决方案是市场主流,但其产能紧张,特别是台积电的CoWoS产能已成为全球AI GPU出货的核心瓶颈,推动了全行业加速扩产。 图:先进封装&先进制程制程节点图 图:先进封装助力厂商技术迭代 图:先进封装相关设备公司 <table><tr><td>设备类型</td><td>主要国产厂商</td><td>简介</td><td>主要垄断外企</td></tr><tr><td>划片机</td><td>光力科技</td><td>通过国际并购跃居成为全球前三、国内第一的半导体切割划片装备企业,产品覆盖切片机、核心零部件(空气主轴)与刀片耗材,高端切片设备和耗材可用于先进封装的切割工艺</td><td>DISCO、TSK</td></tr><tr><td>减薄机</td><td>华海清科</td><td>研发了Versatile-GP300 减薄抛光一体机,主要适用于前道晶圆制造的背面减薄工艺,并开发了针对封装领域的12英寸超精密减薄机,2023年发往客户验证</td><td>DISCO</td></tr><tr><td rowspan="2">固晶机</td><td>华封科技</td><td>对先进封装贴片工艺实现了全面覆盖,包括FOWLP(Face Up/Down)、POP、MCM、EMCP、Stack Die、SIP、2.5D/3D、FCCSP、FCBGA等</td><td rowspan="2">ASMPT、BESI</td></tr><tr><td>新益昌</td><td>半导体固晶设备客户导入顺利,受到业内认可,并收购开玖自动化,积极研发半导体焊线设备,实现固晶与焊线设备的协同销售</td></tr><tr><td>键合机</td><td>苏州芯睿</td><td>自主研发生产的12英寸临时键合设备可应用于Fan-out、2.5D、3D interposer等先进封装相关工艺</td><td>K&S、ASMPT</td></tr><tr><td>模塑机</td><td>文一科技</td><td>研发了注塑自动封装系统、扇出型晶圆级液体封装压机产品</td><td>TOWA、YAMADA、BESI</td></tr><tr><td>电镀设备</td><td>盛美上海</td><td>先进封装电镀设备可应用于多通道先进封装的关键电镀步骤,包括pillar,bump和RDL,也可运用于fan-out,TSV和TMV(Through Molding Via)工艺</td><td>LAM、AMAT</td></tr><tr><td rowspan="3">清洗设备</td><td>盛美上海</td><td>全球首创的SAPS/TEBO兆声波清洗技术和Tahoe单片槽式组合清洗技术,应用于28nm及以下技术节点的晶圆清洗领域,国内市占率达23%</td><td rowspan="3">DNS、TEL、LAM</td></tr><tr><td>北方华创</td><td>拥有单片清洗(覆盖Al/Cu制程全部工艺)、槽式清洗(覆盖RCA、Gate、PR strip、磷酸、Recycle等工艺)两大技术平台,主要应用于12寸集成电路领域</td></tr><tr><td>芯源微</td><td>前道物理清洗机Spin Scrubber设备较为成熟,已成为国内晶圆厂baseline产品</td></tr><tr><td rowspan="2">光刻机</td><td>上海微电子</td><td>目前中国第一且唯一光刻机巨头,具备90nm及以下的芯片制造能力,交付了国内首台2.5D/3D先进封装光刻机</td><td rowspan="2">ASML、尼康、佳能</td></tr><tr><td>芯碁微装</td><td>从事以微纳直写光刻为技术核心的直接成像设备及直写光刻设备的研发和生产,主要产品包括PCB直接成像设备及自动线系统、泛半导体直写光刻设备及自动线系统、其他激光直接成像设备</td></tr><tr><td>涂胶显影设备</td><td>芯源微</td><td>前道涂胶显影设备已完成在晶圆加工环节28nm及以上工艺节点全覆盖,并向更高工艺等级迭代</td><td>TEL</td></tr><tr><td rowspan="3">薄膜沉积设备</td><td>北方华创</td><td>突破了物理气相沉积、化学气相沉积和原子层沉积等多项核心关键技术,铜互联薄膜沉积、铝薄膜沉积、钨薄膜沉积、硬掩膜沉积、介质膜沉积、TSV薄膜沉积、背面金属沉积等二十余款产品成为国内主流芯片厂的优选机型台</td><td rowspan="3">AMAT、ASMI、LAM、TEL</td></tr><tr><td>拓荆科技</td><td>薄膜系列产品在客户产线实现量产的设备性能指标已达到国际同类设备先进水平,是国内唯一一家产业化应用的集成电路PECVD、SACVD、HDPCVD厂商,也是国内领先的集成电路ALD厂商</td></tr><tr><td>微导纳米</td><td>成功研制的High-k原子层沉积所应用的高介电常数(High-k)栅氧薄膜工艺,是国内首家将其成功量产合并应用于28nm节点集成电路制造前道生产线的国产设备公司</td></tr><tr><td rowspan="2">刻蚀设备</td><td>中微</td><td>开发了CCP单台机和双台机,ICP单台机和双台机可覆盖90%刻蚀应用</td><td rowspan="2">AMAT、LAM、TEL</td></tr><tr><td>北方华创</td><td>面向12寸逻辑、存储、功率、先进封装等客户,已完成数百道工艺的量产验证,实现了在硅刻蚀、金属刻蚀、介质刻蚀工艺的全覆盖</td></tr></table> 资料来源:各公司,每日经济新闻,首席访谈,华经情报网,semi,立鼎产业研究网,浙商证券研究所 # 04 # 投资建议与风险提示 ■ 四条主线,布局龙头。1)平台型龙头:北方华创。2)细分领域核心:中微公司(刻蚀)、拓荆科技(薄膜沉积)、微导纳米(ALD)。3)高弹性与突破标的:关注芯源微(涂胶显影)、华海清科(CMP)、华峰测控/长川科技(测试机),光刻机产业链。 表:半导体设备公司估值表 <table><tr><td rowspan="2">代码</td><td rowspan="2">公司</td><td rowspan="2">市值 (亿元)</td><td colspan="4">归母净利润(亿元)</td><td colspan="4">营收(亿元)</td><td colspan="4">PE</td><td colspan="4">PS</td></tr><tr><td>2024A</td><td>2025E</td><td>2026E</td><td>2027E</td><td>2024A</td><td>2025E</td><td>2026E</td><td>2027E</td><td>2024A</td><td>2025E</td><td>2026E</td><td>2027E</td><td>2024A</td><td>2025E</td><td>2026E</td><td>2027E</td></tr><tr><td>002371</td><td>北方华创</td><td>3,485</td><td>56.2</td><td>72.3</td><td>95.6</td><td>120.9</td><td>298</td><td>392</td><td>493</td><td>605</td><td>62</td><td>48</td><td>36</td><td>29</td><td>11.7</td><td>8.9</td><td>7.1</td><td>5.8</td></tr><tr><td>688012</td><td>中微公司</td><td>1,708</td><td>16.2</td><td>21.9</td><td>32.6</td><td>44.0</td><td>91</td><td>121</td><td>160</td><td>202</td><td>106</td><td>78</td><td>52</td><td>39</td><td>18.8</td><td>14.1</td><td>10.7</td><td>8.5</td></tr><tr><td>688082</td><td>盛美上海</td><td>869</td><td>11.5</td><td>16.0</td><td>19.7</td><td>23.0</td><td>56</td><td>70</td><td>85</td><td>98</td><td>75</td><td>54</td><td>44</td><td>38</td><td>15.5</td><td>12.5</td><td>10.3</td><td>8.9</td></tr><tr><td>688072</td><td>拓荆科技</td><td>993</td><td>6.9</td><td>10.4</td><td>16.6</td><td>23.7</td><td>41</td><td>62</td><td>83</td><td>106</td><td>144</td><td>96</td><td>60</td><td>42</td><td>24.2</td><td>15.9</td><td>12.0</td><td>9.4</td></tr><tr><td>688120</td><td>华海清科</td><td>552</td><td>10.2</td><td>12.8</td><td>16.4</td><td>20.3</td><td>34</td><td>46</td><td>58</td><td>72</td><td>54</td><td>43</td><td>34</td><td>27</td><td>16.2</td><td>12.0</td><td>9.5</td><td>7.7</td></tr><tr><td>603690</td><td>至纯科技</td><td>119</td><td>0.2</td><td>1.4</td><td>2.6</td><td>3.4</td><td>36</td><td>33</td><td>38</td><td>43</td><td>506</td><td>85</td><td>46</td><td>35</td><td>3.3</td><td>3.6</td><td>3.1</td><td>2.8</td></tr><tr><td>300567</td><td>精测电子</td><td>239</td><td>-1.0</td><td>2.1</td><td>3.5</td><td>5.6</td><td>26</td><td>33</td><td>41</td><td>51</td><td>-244</td><td>116</td><td>67</td><td>43</td><td>9.3</td><td>7.3</td><td>5.8</td><td>4.7</td></tr><tr><td>688147</td><td>微导纳米</td><td>323</td><td>2.3</td><td>3.1</td><td>4.3</td><td>5.8</td><td>27</td><td>28</td><td>31</td><td>36</td><td>143</td><td>105</td><td>76</td><td>56</td><td>12.0</td><td>11.6</td><td>10.4</td><td>9.0</td></tr><tr><td>688361</td><td>中科飞测</td><td>549</td><td>-0.1</td><td>1.6</td><td>4.1</td><td>6.3</td><td>14</td><td>21</td><td>30</td><td>42</td><td>-4,764</td><td>334</td><td>136</td><td>87</td><td>39.8</td><td>26.7</td><td>18.2</td><td>13.0</td></tr><tr><td>600641</td><td>先导基电</td><td>162</td><td>1.1</td><td>1.8</td><td>2.6</td><td>3.6</td><td>6</td><td>14</td><td>19</td><td>25</td><td>150</td><td>92</td><td>63</td><td>45</td><td>27.8</td><td>11.6</td><td>8.4</td><td>6.6</td></tr><tr><td>688037</td><td>芯源微</td><td>303</td><td>2.0</td><td>2.0</td><td>3.7</td><td>6.0</td><td>18</td><td>20</td><td>27</td><td>35</td><td>150</td><td>154</td><td>82</td><td>51</td><td>17.3</td><td>14.9</td><td>11.4</td><td>8.7</td></tr><tr><td>688200</td><td>华峰测控</td><td>255</td><td>3.3</td><td>5.1</td><td>6.4</td><td>8.1</td><td>9</td><td>13</td><td>16</td><td>19</td><td>76</td><td>50</td><td>40</td><td>32</td><td>28.1</td><td>20.3</td><td>16.0</td><td>13.1</td></tr><tr><td>300604</td><td>长川科技</td><td>627</td><td>4.6</td><td>10.3</td><td>13.6</td><td>17.4</td><td>36</td><td>50</td><td>65</td><td>82</td><td>137</td><td>61</td><td>46</td><td>36</td><td>17.2</td><td>12.4</td><td>9.6</td><td>7.7</td></tr><tr><td>603061</td><td>金海通</td><td>87</td><td>0.8</td><td>1.9</td><td>2.7</td><td>3.7</td><td>4</td><td>7</td><td>9</td><td>11</td><td>111</td><td>47</td><td>32</td><td>23</td><td>21.3</td><td>12.8</td><td>9.7</td><td>7.6</td></tr></table> 资料来源:Wind,浙商证券研究所(数据来自wind一致预期,数据截至2025/12/24) # 4.2 北方华创:半导体设备平台型龙头,受益于先进制程扩产 # 核心逻辑 # 1)半导体设备龙头,内生外延推进平台化。 公司是国内半导体设备平台型龙头,覆盖刻蚀、薄膜、热处理及湿法、离子注入、涂胶显影、清洗、键合、电镀等半导体设备领域。业绩的稳健表现为公司持续推进战略布局奠定基础,2025上半年内公司完成了对芯源微的控股权收购,并发布多款新品,平台化能力和核心竞争力进一步增强。 # 2)发布股权激励,深度绑定核心人才。 2025年11月,北方华创发布了2025年股票期权激励计划(草案),约占总股本1.4446%。激励对象共2306人,包括公司董事、高级管理人员7人,核心技术人才及管理骨干2299人,重点向核心技术团队和管理骨干倾斜,即公司持续将激励覆盖面向骨干人才下沉,强化对研发和科技人才的长期绑定。股权激励对公司吸引和留住关键人才、保障中长期战略目标、稳固技术与管理创新能力发挥了重要作用。 # 3)三重驱动:国产算力发展+先进制程扩产+国产化率提升。 随着算力芯片国产化加速,“AI+”政策与自主可控算力战略强化本土链路需求,国产设备渗透率加速提升。中美科技竞赛下,国内先进晶圆厂扩产更多是从供应链本土化的角度考量,甚至需要在特定环境下去适度超前建设,扩产确定性较强,对设备订单展望乐观。公司同时不断拓展工艺覆盖度,围绕逻辑、存储关键工艺的“成套化供给”能力显著增强,伴随先进产线密集导入,公司市占率有望进一步提高,看好公司在AI算力时代半导体设备龙头地位的进一步提升。 # 催化剂 晶圆厂国产化率提升;公司品类继续拓展 # 风险提示 下游客户扩产不及预期风险、市场竞争加剧风险 # 核心逻辑 # 1)刻蚀设备领军企业,高性能CCP、ICP持续推进。 在逻辑芯片制造方面,公司开发的12英寸高端刻蚀设备持续获得国际国内知名客户的订单,已经在从65至5纳米及更先进技术结点大量量产。在存储芯片制造环节,公司的等离子体刻蚀设备已大量用于先进三维闪存和动态随机存储器件的量产。公司致力于提供超高深宽比掩膜(≥40:1)ICP刻蚀设备和超高深宽比介质刻蚀(≥60:1)CCP刻蚀设备的解决方案。 # 2)薄膜设备实现放量,工艺覆盖度不断提升。 公司为先进存储器件和逻辑器件开发的LPCVD、ALD等多款薄膜设备已经顺利进入市场,薄膜设备的覆盖率不断增加。公司钨系列薄膜沉积产品:CVD(化学气相沉积)钨设备,HAR(高深宽比)钨设备和ALD(原子层沉积)钨设备,可覆盖存储器件所有钨应用。公司开发出应用于先进逻辑器件的金属栅系列产品:ALD氮化钛,ALD钛铝,ALD氮化钽产品,已完成多个先进逻辑客户设备验证,在满足先进逻辑客户性能需求的同时,设备的薄膜均一性,污染物控制和生产效率均达到世界先进水平。该系列设备已付运到先进逻辑客户端进行验证,核准推进顺利。 # 催化剂 晶圆厂国产化率提升;公司品类继续拓展 # 风险提示 下游客户扩产不及预期风险、市场竞争加剧风险 # 核心逻辑 # 1)薄膜沉积设备工艺覆盖面不断扩大,先进制程设备进入规模化量产。 公司进一步扩大PECVD、ALD、SACVD、HDPCVD以及Flowable CVD薄膜沉积设备覆盖面,基于新型设备平台(PF-300T Plus和PF-300M)和新型反应腔(pX和Supra-D)的PECVD Stack(ONO叠层)、ACHM以及PECVD Bianca、ALD SiCO等先进制程的验证机台顺利通过客户认证,进入规模化量产阶段,营业收入持续大幅度增长。公司应用于先进存储的PECVD OPN、SiB等持续获得订单并出货至客户端验证;PE-ALD持续获得订单,实现介质薄膜材料的全面覆盖;Thermal-ALD TiN持续获得订单,出货量不断扩大。 # 2)键合及配套量检测设备陆续出货,应用于先进存储、逻辑等。 晶圆对晶圆混合键合产品Dione 300是公司最早推出的混合键合产品,已实现产业化,可实现百纳米级晶圆混合键合。晶圆对晶圆熔融键合产品Dione 300F,可实现载片晶圆和器件晶圆低应力熔融键合。芯片对晶圆键合前表面预处理产品Propus已实现产业化,可以在芯片对晶圆混合键合前实现晶圆及切割好的芯片的表面活化和清洗,具备高产能的特点。公司研制的芯片对晶圆混合键合产品Pleione,可以实现芯片顺序拾取并精准键合到晶圆上,精度可达百纳米级,具有高精度、高产能、低污染的特点,该产品已获得客户订单并出货,验证进展顺利。 # 催化剂 存储客户订单超预期;公司在下游客户的产品份额提升 # 风险提示 下游客户扩产不及预期风险、市场竞争加剧风险 # 4.5 微导纳米:半导体ALD设备龙头,锂电ALD设备打开空间 # 核心逻辑 # 1)半导体:ALD设备龙头,受益于国内存储扩产。 2026年公司半导体订单将持续高增长,行业贝塔+自身阿尔法双重驱动。从行业扩产来看,2026年存储均有望扩产超预期,公司公司深度绑定存储客户,新增订单主要来源于NAND和DRAM头部客户,且多款设备已进入量产线,受益于国内存储芯片的扩产浪潮。公司是国内领先的ALD设备公司,产品涵盖行业主流ALD薄膜材料及工艺,PECVD、LPCVD多类工艺设备获得批量订单,公司持续扩大核心产品的产能并推进新产品的研发,多款工艺设备有望导入客户产线,有望带来订单超额弹性。 # 2)光伏:ALD设备龙头,光伏行业有望反转。 公司是国内光伏ALD设备龙头,是首家将ALD技术规模化应用于国内光伏电池生产的公司。公司技术方案覆盖TOPCon、XBC、钙钛矿等高效电池技术,已成功开发XBC电池整线解决方案,覆盖钙钛矿电池的电子传输层、空穴传输层、界面层及阻隔封装层等核心工艺环节,钙钛矿板式ALD设备已进入产业化应用。随着光伏行业反内卷及新技术的迭代,公司有望把握住下一代电池技术应用的机遇,实现光伏设备板块的持续发展。 # 3)锂电:ALD镀膜设备有望成为第三增长曲线。 公司正在研发锂电ALD镀膜设备,研发目标为开发出批量式粉末ALD沉积设备、新能源及催化材料改性柔性材料ALD沉积设备,能够精确控制镀膜厚度、提升包覆率和均匀性,实现提高材料性能的目的,目前该设备处于开发实现阶段。借助兄弟公司先导智能在锂电设备领域的强大渠道与客户资源,有望成为公司继半导体后的第三成长曲线。 # 催化剂 存储客户订单超预期;公司在下游客户的产品份额提升 # 风险提示 光伏应收账款坏账风险、下游客户扩产不及预期风险、新品验证不及预期风险、市场竞争加剧风险 ■下游扩产不及预期风险。若下游存储及先进逻辑扩产不及预期,可能影响相关设备公司业绩表现。 ■ 国产化进程低于预期风险。若未来研发进度不及预期,导致设备在下游验证不及预期,可能会导致国产化进程低于预期。 ■ 美国半导体管制加剧风险。自2022年10月,美国对华半导体管制范围再度趋紧,若未来从当前管制范围继续扩展至成熟制程,可能阶段性阻碍国内晶圆厂扩产进度,对国内半导体设备板块短期收入造成不利影响。 ■ 零部件供应风险。由于美国半导体制裁政策,导致部分半导体零部件供应链受阻,可能影响半导体设备的研发进展。 # 行业的投资评级 以报告日后的6个月内,行业指数相对于沪深300指数的涨跌幅为标准,定义如下: 1、看好:行业指数相对于沪深300指数表现 $+10\%$ 以上; 2、中性:行业指数相对于沪深300指数表现 $-10\% \sim +10\%$ 以上; 3、看淡:行业指数相对于沪深300指数表现-10%以下。 我们在此提醒您,不同证券研究机构采用不同的评级术语及评级标准。我们采用的是相对评级体系,表示投资的相对比重。 建议:投资者买入或者卖出证券的决定取决于个人的实际情况,比如当前的持仓结构以及其他需要考虑的因素。投资者不应仅仅依靠投资评级来推断结论 # 法律声明及风险提示 本报告由浙商证券股份有限公司(已具备中国证监会批复的证券投资咨询业务资格,经营许可证编号为:Z39833000)制作。本报告中的信息均来源于我们认为可靠的已公开资料,但浙商证券股份有限公司及其关联机构(以下统称“本公司”)对这些信息的真实性、准确性及完整性不作任何保证,也不保证所包含的信息和建议不发生任何变更。本公司没有将变更的信息和建议向报告所有接收者进行更新的义务。 本报告仅供本公司的客户作参考之用。本公司不会因接收人收到本报告而视其为本公司的当然客户。 本报告仅反映报告作者的出具日的观点和判断,在任何情况下,本报告中的信息或所表述的意见均不构成对任何人的投资建议,投资者应当对本报告中的信息和意见进行独立评估,并应同时考量各自的投资目的、财务状况和特定需求。对依据或者使用本报告所造成的一切后果,本公司及/或其关联人员均不承担任何法律责任。 本公司的交易人员以及其他专业人士可能会依据不同假设和标准、采用不同的分析方法而口头或书面发表与本报告意见及建议不一致的市场评论和/或交易观点。本公司没有将此意见及建议向报告所有接收者进行更新的义务。本公司的资产管理公司、自营部门以及其他投资业务部门可能独立做出与本报告中的意见或建议不一致的投资决策。 本报告版权均归本公司所有,未经本公司事先书面授权,任何机构或个人不得以任何形式复制、发布、传播本报告的全部或部分内容。经授权刊载、转发本报告或者摘要的,应当注明本报告发布人和发布日期,并提示使用本报告的风险。未经授权或未按要求刊载、转发本报告的,应当承担相应的法律责任。本公司将保留向其追究法律责任的权利。 # 浙商证券研究所 上海总部地址:杨高南路729号陆家嘴世纪金融广场1号楼25层 北京地址:北京市东城区朝阳门北大街8号富华大厦E座4层 深圳地址:广东省深圳市福田区广电金融中心33层 邮政编码:200127 电话:(8621)80108518 传真: (8621)80106010 浙商证券研究所:http://research.stocke.com.cn